More

    Apple’s A20 and A20 Pro to Feature 2nm Chips and 12GB RAM in 2026

    - Advertisement -

    According to the report, TSMC will once again lead production of parts for the iPhone 17 series which in part is being made using a refined version called ‘N3P’ of its upcoming 3nm process. It suggests that next year, the A19 and A19 Pro chips will not move on to a technology of 2 nm.

    The new lithography is also expected to make its way into a potential A20 line of chipsets that the company will be using on upcoming iPhones in 2026, with both variants (A20 and A20 Pro are likely names) potentially sporting different packaging solutions compared to InFo (Integrated Fan-Out).

    Apple

    Apple A20 and A20 Pro to Feature 2nm

    One reason for Apple not immediately adopting the 2nm process for the iPhone 17 lineup in 2025 is the high cost of wafers, which will likely lead to its use in select iPhone 18 models instead. According to a Weibo user (Mobile phone chip expert), the upcoming Apple A20 and A20 Pro will use TSMC’s 2nm process technology, which is scheduled for two years later. Additionally, it adopts an innovative WMCM (Wafer-Level Multi-Chip Module) along with a 12GB RAM upgrade.

    - Advertisement -
    image 6 20

    The A18 and upcoming A18 Pro chips are currently on this list as they use TSMC InFo technology that allows for the package to have multiple components integrated together. The emphasis is on single-die packaging, where memory is attached to the main SoC, typically placing DRAM atop or near the CPU and GPU cores to optimize size and performance.

    image 5 73

    MacRumors reports that WMCM permits combining several chips into a single offering, and the technology has been used to produce more complicated chipsets complete with CPU, GPU, DRAM, and Neural Engine. The result could offer Apple flexibility in stacking or placing the chips side by side to boost performance, depending on the target category for those devices. While the M6 would be based on this 2nm process, other more powerful versions like a rumored M6 Ultra might take advantage of WMCM packaging. This is entirely speculative, however, and should be considered with caution until solid updates.

    FAQs

    1. Will the iPhone 17 use 2nm technology?

      No, the iPhone 17 will use TSMC’s 3nm process, with a transition to 2nm expected for the iPhone 18.

      - Advertisement -
    2. What improvements can we expect in the A20 and A20 Pro chips?

      The A20 and A20 Pro are rumored to feature TSMC’s 2nm technology, a 12GB RAM upgrade, and a new Wafer-Level Multi-Chip Module (WMCM) for better chip integration.

    - Advertisement -

    Get in Touch

    LEAVE A REPLY

    Please enter your comment!
    Please enter your name here

    Latest Posts